Welcome![Sign In][Sign Up]
Location:
Search - fpga uart fifo

Search list

[VHDL-FPGA-Veriloguart from opencores

Description: 用VHDL实现串口 可以实现与pc机的通信 收发 中断都可以 效果比较好-VHDL implement serial port, it can communicate with pc, it can accept and send message, and it can be interrupted.
Platform: | Size: 9216 | Author: 熊明 | Hits:

[VHDL-FPGA-Verilog16550

Description: UART16550兼容的串行通讯控制器,Verilog语言描述,采用Altera Cyclone系列芯片实现FPGA综合,因为FIFO部分利用到内部资源实现。已经在某项目中成功应用,特此推出。-UART16550 compatible serial communication controller, Verilog language description, the use of Altera Cyclone series FPGA chip integrated, as part of the use of FIFO to the internal resources to achieve. Projects have been in a successful application, is hereby introduced.
Platform: | Size: 10240 | Author: David.Mr.Liu | Hits:

[VHDL-FPGA-VerilogUART

Description: 使用FPGA的FIFO,状态机,乒乓操作等实现了异步UART。-The use of FPGA-FIFO, state machine, ping-pong operation to achieve the asynchronous UART.
Platform: | Size: 1106944 | Author: xiao cao | Hits:

[VHDL-FPGA-Veriloguart8

Description: 使用Libero提供的异步通信IP核实现UART通信,并附带仿真程序。UART设置为1位开始位,8位数据位,1位停止位,无校验。且UART发送自带2级FIFO缓冲,占用FPGA面积很小。-Libero provided the use of asynchronous communication IP core implementation UART communications, and incidental simulation program. UART is set to 1 to start bit, 8 data bits, 1 stop bit, no parity. UART and send its own two FIFO buffer occupancy is very small FPGA.
Platform: | Size: 876544 | Author: 张键 | Hits:

[VHDL-FPGA-VerilogNIOS_JTAG_UART

Description: FPGA开发板上的JTAG——UART完成的工程设计,包括CPU内核设计合软件设计-FPGA development board JTAG- UART completed the engineering design, including the CPU core design combined software design
Platform: | Size: 7814144 | Author: 张一 | Hits:

[VHDL-FPGA-VerilogUART_LCD

Description: 基于Actel的Fusion系列FPGA的UART串口,带FIFO-Based on Actel s Fusion Series of FPGA serial UART with FIFO
Platform: | Size: 8192 | Author: 戚海峰 | Hits:

[Software EngineeringThedesignofUniversalAsynchronousReceiverTransmitte

Description: 本课题所设计的UART支持标准的RS.232C传输协议,主要设计有发送模块、接收模块、线路控制与中断仲裁模块、Modem控制模块以及两个独立的数据缓冲区FIFO模块。该模块具有可变的波特率、数据帧长度以及奇偶校验方式,还有多种中断源、中断优先级、较强的抗干扰数据接收能力以及芯片内部自诊断的能力,模块内分开的接收和发送数据缓冲寄存器能实现全双工通信。除此之外最重要的是利用口模块复用技术设计数据缓冲区FIFO,采用两种可选择的数据缓冲模式。这样既可以应用于高速的数据传输环境,也能适合低速的数据传输场合,因此可以达到资源利用的最大化。-According to the characteristics of the UART and the portability advantage of FPGA designs,this paper puts forward an embedded UART design method based on FPGA chips.The design method includes description form of FSM and design approach of Top-Down.It’S good to take advantage of VHDL to program the slave module and top module of UART,and then integrate them into the interior of FPGA chip.In this case it improves not only the disadvantage of the traditional UART chips but also makes the whole system more compact and more reliable.
Platform: | Size: 5072896 | Author: mabeibei | Hits:

[VHDL-FPGA-VerilogFT2232H_USB_Core

Description: 在FPGA外扩用FT2232 实现UART TO USB 2.0 的通信。-The FT2232H is a USB2.0 Hi-Speed USB Device to FIFO IC. This core allows the use of this chip with an FGPA design in high speed FT245 style synchronous FIFO mode. Data rates up to 25 mbytes/s can be achieved. The core has internal FIFOs on the receive and transmit for improved throughput. For more information see FTDI s appnote "AN_130_FT2232H_Used_In_FT245 Synchronous FIFO Mode.pdf" Included: VHDL core, NIOS test application, PC test application
Platform: | Size: 6144 | Author: 李涛 | Hits:

[VHDL-FPGA-Veriloguart_EP3C16_FIFO

Description: Verilog编写的串口RS232收发字符串程序,使用FIFO作为数据缓冲区,有效收发字符串长度为256字节,解决了利用串口调试工具与FPGA通讯只能收发单字节的问题.-Programs for uart/RS232, it can receive and transmit strings.
Platform: | Size: 6756352 | Author: 515666524 | Hits:

[VHDL-FPGA-Veriloguartfifo

Description: 基于FPGA的串口发送源代码,通过FIFO能够发送一段字符串。-FPGA-based serial port source code, a string can be sent through the FIFO.
Platform: | Size: 840704 | Author: luoqv | Hits:

[VHDL-FPGA-VerilogUART

Description: 基于FPGA的UART设计,包含接收模块,发送模块,FIFO模块-UART FPGA-based design, including the receiver module, sending module, FIFO module
Platform: | Size: 733184 | Author: 钱远盼 | Hits:

[VHDL-FPGA-Veriloguartfifo

Description: FPGA采用FIFO实现UART,对于大量异步数据的采集传输很有帮助-A usart design of FPGA using fifo,it can be used in massed asychronous data collect.
Platform: | Size: 275456 | Author: duzhaoguo | Hits:

[MPISDRAM_FPGA

Description: 这个是SDRAM的控制程序,包括包括UART和FIFO模块,适合FPGA开发人员看,也适合初学者学习。-This is the SDRAM control procedures, including including UART and FIFO module, suitable for FPGA developers look, but also suitable for beginners to learn.
Platform: | Size: 2957312 | Author: zhangquanling | Hits:

[VHDL-FPGA-VerilogSDRAM_Test5

Description: 基于EP1C12Q240C8的红色飓风二代FPGA开发板的SDRAM测试程序,含有写入和读出FIFO,串口UART,数据发生模块。-Based EP1C12Q240C8 a red hurricane II FPGA development board SDRAM test program, containing written and read FIFO, serial UART, data generation module.
Platform: | Size: 15497216 | Author: linbaoluo | Hits:

[VHDL-FPGA-Veriloguart_fifo

Description: 带fifo的串口通信verilog设计,该设计为学习uart所用,完成PC端发送至fpga后fpga原数据返回,支持长字符串。-Serial communication with fifo verilog design, which is used to learn uart complete PC sends data back to the original post fpga fpga, support long strings.
Platform: | Size: 150528 | Author: Xin | Hits:

[Com Portuart

Description: 黑金FPGA开发板串口收发程序,其中加入FIFO模块作为输入输出缓冲-Black gold development board FPGA procedures, which joined the FIFO module as input and output buffer
Platform: | Size: 3884032 | Author: 薛佳 | Hits:

[VHDL-FPGA-Veriloguartfifo

Description: 串口通信例程,使用FIFO数据缓存。Verilog源码,基于FPGA的uart开发,加深理解。-uart communication
Platform: | Size: 256000 | Author: 曹振吉 | Hits:

[VHDL-FPGA-Veriloguart

Description: 带有fifo的功能模块,具有发送模块和接收功能模块(The function module with FIFO has transmitting module and receiving function module)
Platform: | Size: 145408 | Author: 陈陈陈啊 | Hits:

[VHDL-FPGA-Verilogparameter_uart_rx

Description: 串口接收模块,可以通过parameter,参数化配置传输速率、传输位宽和校验。采用Verilog语音编程实现。使用者根据串口的要求配置好参数,并根据缓冲的大小配置FIFO就可以使用。对帧错误(停止位不为高),检验错误和读FIFO超时(FIFO满的情况下,有新的数据到)等现象进行了检查。(UART serial receiver module, through parameter, configuration parameters of the transmission rate, Data width and parity. Using Verilog. The user configured the parameters according to the serial port and configured FIFO according to the size of the buffer. The frame error (stop bit is not high), check errors, and read FIFO timeout (when FIFO is full,and new data come) and so on are examined.)
Platform: | Size: 4096 | Author: 老工程师 | Hits:

[VHDL-FPGA-Verilogtx_rx_fifo

Description: 通过串口将接收到的数据存入fifo,fifo存满后使能串口发送功能,将接收到的数据发送出去(Use fifo to realize the receive and send function of the uart. The function is no problem.)
Platform: | Size: 3791872 | Author: Pgaf | Hits:

CodeBus www.codebus.net